开源verilog仿真工具iverilog+GTKWave初体验

时间:2022-07-22
本文章向大家介绍开源verilog仿真工具iverilog+GTKWave初体验,主要内容包括其使用实例、应用技巧、基本知识点总结和需要注意事项,具有一定的参考价值,需要的朋友可以参考一下。

本文将介绍如何使用Icarus Verilog+GTKWave来进行verilog文件的编译和仿真。

Icarus Verilog

Icarus Verilog极其小巧,支持全平台Windows+Linux+MacOS,并且源代码开源。

通过tb文件可以生成对应的仿真波形数据文件,通过GTKWave可以查看仿真波形图,支持将Verilog转换为VHDL文件。

1.安装iverilog:

sudo apt-get install iverilog 

安装完成查看版本

iverilog -v  

2.安装gtkwave:

sudo apt-get install gtkwave 

安装完成查看版本

gtkwave -v 

Tb中添加

3.编译:

进入文件目录,输入命令:

iverilog *.v

编译完成出现.out文件

生成.vcd文件

vpp a.out 

执行后产生的文件如下

4.用GTKWave打开VCD文件:

gtkwave glitch.vcd 

执行完成后,弹出界面

添加波形的时候卡死

glitch.vcd文件太大???

解决:

gtkwave,icarus支持vcd,lxt,lxt2 dump.

vcd通用但vcd dump太大,gtkwave不能很好的查看波形,导致崩溃。所以最好之前用lxt或将vcd转化为lxt格式。lxt格式是gtkwave的专用格式。

cp glitch.vcd glitch.lxt 

添加波形

5.Verilog转换为VHDL

将glitch.v文件转换为VHDL文件glitch.vhd

iverilog -tvhdl -o glitch.vhd glitch.v