如何高效的编写Verlog HDL——菜鸟版-宁河川

时间:2021-08-17
本文章向大家介绍如何高效的编写Verlog HDL——菜鸟版-宁河川,主要包括如何高效的编写Verlog HDL——菜鸟版-宁河川使用实例、应用技巧、基本知识点总结和需要注意事项,具有一定的参考价值,需要的朋友可以参考一下。

工欲善其事、必先利其器!要想高效的编写verilog没有一个好的编辑器可不行,所以我这里推荐两款十分好用的编辑器Notepad++和Gvim,这两款编辑器由于其强大的添加插件的功能,所以深受代码工作者的喜爱,那么对于FPGA新手而言,我觉的去学较复杂的gvim编辑指令,那就有点本末倒置了,所以对于初学者来说,编写的代码工程量还不是很大的时候我向各位推荐Notepad++这款编辑器,这款编辑器的便捷性和实用性不用说,接下来我们就来盘点一下使用Notepad++在编写verilog代码时要配置哪些!

第一 括号、双引号自动补全

点击设置——首选项,如图所示

在弹出的窗口中选择自动完成,将下面需要自动完成的各项目勾选,然后就设置完成了。

第二 代码高亮

Notepad++还有一个便捷的功能是,你双击一个代码其他相同的代码也会高亮,这样查找起来信号便十分方便。

第三 代码自动补全

对于一个文件里的代码如果你正在输入的代码本模块出现过,你只需打出前几个字符,便会出现代码提示,然后选择对应的部分按回车即可自动补全,这样就免去了每个代码都要敲完全,大大提高的编写效率。

第四 列编辑

Notepad++还可以进行列编辑,按住alt键,选择你需要编辑的一列代码,便可以同时对整列进行操作

第五 与ISE、Vivado、QuartusII的配置

Notepad++与ISE关联

打开ISE软件,选择菜单栏“Edit——>Preferences…”,在弹出的对话框中,选择ISE General选项卡下的Editors,如图所示。

在Editor下选Custom,并在Command line syntax下输入“{notepad++安装目录/notepad++.exe} 1/OKnotepad++ISEnotepad++/notepad++.exe1”,如图所示。注意路径的反斜杠为“/”。点击“OK”完成notepad++与ISE的关联。但是这种方法不能实现双击错误高亮定位到代码,所以采用下面这种方法notepad++安装目录/notepad++.exe1 -n$2。

Notepad++与Vivado关联

打开vivado软件,选择菜单栏“Tools——>Setting…”,在弹出的对话框中,选择General选项卡,如图所示。

选择Text Editor栏目,选择“Custom Editor…”,如图中的所示。

点击上图中的…,在弹出的对话框中,输入“notepad++安装目录/notepad++.exe [file name] -n[line number]”,如图所示。点击“OK”、“OK”完成notepad++与vivado的关联。

Notepad++quartus ii的关联

打开quartus ii软件,选择菜单栏“Tools——>Options…”,在弹出的对话框中,选择General选项卡下的Preferred Text Editor,如图所示。

在Text editor行选Notepad++,在Command-line行通过路径浏览按钮选择notepad++的安装路径,如图6所示。点击“OK”完成notepad++与quartus ii的关联。

以上软件的各个版本大同小异

         除了一个方便快捷的编辑器之外,我们还需要有良好代码书写风格习惯,比如给模块端口命名为容易区分明了的名字,对写好的模块进行功能描述注释,代码书写规范多用参数定义,增加代码的可移植性,多复制,少编敲等等。而且我的代码风格也是一直在慢慢改进的,对于如何高效的编写verilog还有什么我不知道或者漏掉了请留言告诉我吧!

 

转载请注明出处:NingHeChuan(宁河川)

个人微信订阅号:开源FPGANingHeChuan

如果你想及时收到个人撰写的博文推送,可以扫描左边二维码(或者长按识别二维码)关注个人微信订阅号

知乎ID:NingHeChuan

微博ID:NingHeChuan

原文地址:http://www.cnblogs.com/ninghechuan/p/7729078.html 

 

 

原文地址:https://www.cnblogs.com/lcq-manji/p/15154473.html