[原创]SPI 协议介绍以及基于 Verilog 的 IP 核实现

时间:2019-09-03
本文章向大家介绍[原创]SPI 协议介绍以及基于 Verilog 的 IP 核实现,主要包括[原创]SPI 协议介绍以及基于 Verilog 的 IP 核实现使用实例、应用技巧、基本知识点总结和需要注意事项,具有一定的参考价值,需要的朋友可以参考一下。
| | I_clk | 1 | 输入 | 模块时钟信号 | | I_rst_n | 1 | 输入 | 模块复位信号,低有效 | | I_en | 1 | 输入 | 模块使能信号,高使能 | | O_spi_clk | 1 | 输出 | SPI的时钟信号SCLK | | O_spi_cs_n | 1 | 输出 | SPI的片选使能信号CSn | | IO_spi_data | 1 | 双向端口 | SPI的数据信号(三线制) | | I_tx_flag | 1 | 输入 | SPI的发送信号,高有效 | | I_tx_data | 由配置决定 | 输入 | SPI的发送数据 | | I_rx_flag | 1 | 输入 | SPI的接收信号,高有效 | | O_rx_data | 由配置决定 | 输出 | SPI的接收数据 | | O_rx_dval | 1 | 输出 | SPI接收数据的数据有效信号,高有效 | 下面直接给出代码的下载链接[[点此](https://airbird-1252162485.cos.ap-shanghai.myqcloud.com/20161225-file-spi-ipcore.zip)]好了,其中三线制下模式 0 已经经过验证,其它各种情况还未进行验证,后续验证后将更新文件。

原文地址:https://www.cnblogs.com/airbird/p/11455015.html